半导体系列报告(五):国产EDA迎来发展春天但追赶之路依然艰辛.pdf

返回 相关 举报
半导体系列报告(五):国产EDA迎来发展春天但追赶之路依然艰辛.pdf_第1页
第1页 / 共42页
半导体系列报告(五):国产EDA迎来发展春天但追赶之路依然艰辛.pdf_第2页
第2页 / 共42页
半导体系列报告(五):国产EDA迎来发展春天但追赶之路依然艰辛.pdf_第3页
第3页 / 共42页
半导体系列报告(五):国产EDA迎来发展春天但追赶之路依然艰辛.pdf_第4页
第4页 / 共42页
半导体系列报告(五):国产EDA迎来发展春天但追赶之路依然艰辛.pdf_第5页
第5页 / 共42页
亲,该文档总共42页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
国产 EDA迎来发展春天,但追赶之路 依然 艰辛 请务必阅读正文后免责条款 计算机行 业评 级: 强于大市 ( 维持) 证券研究报告 证券分 析师 半导体系列报告(五) 2021年 5月 31日 付强 投资咨询资格编号: S1060520070001 FUQIANG 闫磊 投资咨询资格编号: S1060517070006 YANLEI 投资要点: EDA是电子设计自动化( Electronic Design Automation)的简称,是芯片设计的基础工具,被称为“芯片之母”。利用 EDA 工具,电子工程师可以实现芯片的电路设计、性能分析、出版图等过程的计算机自动化处理。目前, EDA已经进入发展的 4.0阶段,功能更为强大且效率更高。 EDA行业重要性高、基础性强,但市场规模只有百亿美元左右,仅相当于整个芯片 行业销售额的 2.5%。 行业规模小但能量大, EDA支撑的是超过 3万亿美元的 IT大产业,是整个半导体产业链皇冠上的明珠。 美国在全球 EDA市场上处于绝对领先的地位,而且正在利用该领域的优势,在 IT行业竞争中“拿捏” 对手。 EDA行业技术门槛高且严重寡头化,留给新进入者的空间非常有限,但是我国必须进行全力追赶。 在全球 EDA市场上,新 思科技、楷登电子和西门子占据了超过 60%的份额,并通过持续高研发、频繁并购的策略,形成了电子设计领域全流程支 撑能力,筑起难以逾越的技术和生态高墙。但 EDA作为底层关键技术,买不来,通过市场也换不来,因此我国必须通过自 主研发去实现突破,尤其是华为遭到国际 EDA厂商断供之后,这种迫切性变得更为凸显。值得庆幸的是,虽然在国际大厂 的挤压下,曾经长期沉寂低迷的国产 EDA市场依然留存着发展的种子,比如华大九天等,而且参与者还在快速增加。这些 企业在模拟电路、平板显示等领域还有着较强的技术积累,在数字电路设计点工具上也有一定的竞争力。 国产 EDA追赶的环境利好,但发展之路道阻且长。 一方面,行业政策、市场环境正在变得利好。国家正在推动“产学研用 资”联合进行攻关,实现集中突破的意图明确,困扰行业的资本投入不足、知识产权保护不力和人才短缺等难题将逐步得 到解决。另一方面,随着国内数字经济的提升、芯片设计行业的崛起,国产 EDA需求将会提升,国产 EDA长期有望实现由 点到面、由低端到高端的跨越,最终赢得市场信任形成国产化生态。目前,二级市场上,纯正的 EDA工具软件企业较为稀 缺,相关能力主要集中在 中望软件 。中望软件在电磁仿真方面有着较为深厚的积累,在天线、高频组件微波器件等相关产 品仿真分析具有较强的能力。一级市场上,华大九天、概伦电子等公司也正在接受上市辅导,建议投资者关注其后续进展。 重点关注 技术和市场风险、国际政治环境变化风险以及市场过度炒作风险 。 目录 CONTENTS 寡头竞逐:通过高强度研发和频繁并购,垒就产品和生态高墙 现状与趋势: EDA门槛高、市场窄,但国产化必须突破 曙光初现:国产 EDA发展寒冬已过,但追赶之路道阻且长 3 投资建议及风险提示 市场急需: EDA等工业软件短板凸显,被“卡脖子”的风险上升 EDA是芯片之母,美国政府曾利用其对我国 IT企业进行精准打击。 2020年 5月,美国政府升级了对华为的制裁,进一步限 制华为获得软、硬件的能力,禁售含美国技术的软件。其中, EDA的禁售影响最大,直接限制了华为海思的高端芯片的设 计能力。此外,美国还对哈工大、哈工程实施制裁,要求断供设计仿真软件 Matlab,对相关机构的研发造成干扰。 拜登政府上台之后,对中国 EDA出售的限制可能会更多 。近期,一些美国议员开始敦促美国现政府对华限售半导体设备和 设计软件 EDA。我国作为主要的电子信息制造大国,如果在最底层的设计工具持续受制于人,就很难在国际竞争中立足。 资料来源: wind,平安证券研究所 美国对我国科技企业制裁和打压情况 国家重视:构建新型举国体制,支持 EDA等领域取得突破 美国对中国科技领域的打压,彻底割裂了此前业界笃信的“全球产业链”,根深蒂固的“造不如买”的执念彻底被打破。 针对 EDA这些关键环节,国务院在出台的政策文件中明确指出,要通过构建社会主义市场经济条件下关键核心技术攻关新 型举国体制来解决,并利用国家重点研发计划、国家科技重大专项予以扶持。 举国体制作为社会主义国家的一大优势,可以集中“产学研用资” 的力量办大事。 国家将集成电路设计工具的研发列入 “新型举国体制”的重要突破点,足见党中央和国务院对这个领域的重视。 高端芯片 集成电路设计工具( EDA)集成电路装备和工艺技术 集成电路关键材料 工业软件 基础软件、应用软件等 构建社会主义条件下关键核心 技术攻关新型举国体制 资料来源:中国政府网,平安证券研究所 资本热捧: EDA企业数量快速增加,融资次数更为频密 企业数量快速增长。 2020年末,国内 EDA企业数量达到 28家。从 2014年开始,每年都会新增 3-5家的 EDA企业,市场参与者 快速增多。 投、融资两端表现得更为活跃。 在 2018年之前,行业关注度比较低,市场投、融资都较为平淡。但是,中美贸易战开始之 后,行业成为市场关注的重点,资金开始积极进入。 2020年就有 9家企业完成了 15次融资; 2021年前两个月已经有 6家企业 完成了 7次融资。投资端看,华为、中芯聚源、兴橙资本在这个市场上出手较为频繁。 1 2 3 4 6 8 10 12 12 11 14 16 20 24 28 0 5 10 15 20 25 30 2001 2002 2003 2004 2006 2009 2010 2011 2012 2013 2014 2017 2018 2019 2020 1 1 1 5 4 15 7 0 2 4 6 8 10 12 14 16 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 融资次数大幅 增加 注: 2021年为前两个月数据。资料来源:芯思想,平安证券研究所 我国 EDA企业数量(家) 国内 EDA融资数量(次) 何谓 EDA:电子设计自动化工具,是 IC产业最上游的子行业 EDA是电子设计自动化( Electronic Design Automation)的简称,是广义计算机辅助设计( CAD)的一种,是芯片设计的基础 工具。利用 EDA工具,工程师可以实现芯片的电路设计、性能分析、出版图等过程的计算机自动处理完成。 随着超大规模集成电路的持续发展,晶体管密度快速上升,芯片设计难度持续加大,加上工艺变革的加快,电子工程师更加 需要利用 EDA工具来提升逻辑综合、布局布线、仿真验证的效率, EDA变得越来越重要。 资料来源:百度百科,平安证券研究所 EDA在芯片设计环节发挥的作用集成电路芯片产业链 EDA工作流程:含输入、综合、适配、仿真和下载等五个步骤 设计输入 综合或编译 适配器件 下载 图形或 HDL 编辑 适配器 编程文件汇编 时序分析器 仿真 下载器分析与综合 原理图 状态图 波形图 HDL文本 按照给定的硬件结构 组件和约束条件进行 编译、转换和综合, 形成电路描述网表文 件 适配器将综合器产生 的网表文件配置到目 标器件中,产生最终 下载模块 系统级仿真 行为级仿真 RTL级仿真 门级时序仿真 将适配后产生的下 载文件下载到相应 的 CPLD或者 FPGA等可编程逻 辑器件中,使其成 为具有特定功能的 专用芯片 1 2 3 4 5 资料来源:百度百科,平安证券研究所 发展历程:正处 4.0阶段,工具功能更强大、自动化水平更高 1.0阶段 (上世纪 70年代) 2.0阶段 (上世纪 80年代) 3.0阶段 (上世纪 90年代) 4.0阶段 ( 2000年以来) 计算机辅助设计( CAD)阶段 计算机辅助工程( CAE)阶段 电子系统设计自动化( EDA)阶段 现代 EDA技术 发展过程: 上世纪 70年代 以前,设计人员依靠手 工完成电路图的输入、 布局和布线; 70年代中 期,可编程逻辑技术出 现,开发人员尝试实现 整个设计工程的自动 化,开始运用 CAD进行 PCB设计。 主要功能: 电路仿真、 逻辑仿真与测试、 MOS 时序仿真、 PCB版图系 统、布线以及规则阵 列。 发展过程: 随着超大规模 集成电路 的发展,电子系 统变得更为复杂,语言编 程开始应用于芯片设计。 这个阶段出现了硬件描述 语言 VHDL和 Verilog,为 EDA的商业化奠定了基 础。 主要功能: 测试与验证、 仿真(更快、更精确)、 硬件描述语言出现、硬件 加速、系统级设计(高层 次设计)。 发展过程: 硬件语言趋于 标准化以及芯片设计技术 在不断丰富, EDA设计工 具快速普及和发展, 这一 阶段也是 EDA发展的黄金 期 。设计手段包括全定制 设计、半定制设计、 ASIC 设计、标准单元库、门阵 列、可编程逻辑阵列等。 主要功能: 实现从系统行 为级描述到系统综合、系 统仿真与系统测试,真正 实现了设计的自动化。 发展过程: EDA软件工具功 能更为强大;更大规模的可 编程逻辑器件不断推出,系 统级、行为级硬件描述语言 趋于更加高效和简单。 主要功能: 能自动地完成用 软件方式描述的电子系统到 硬件系统的逻辑编译、逻辑 化简、逻辑分割、逻辑综合 及优化、布局布线、逻辑仿 真,直至完成对于特定目标 芯片的适配编译、逻辑映射 和编程下载等工作。 资料来源:百度百科,平安证券研究所 应用效果:提供优秀的 PPA表现,缩短设计周期并降低设计成本 EDA可以提升设计自动化水平和产品性能,缩短设计周期。 通过 EDA的应用,设计企业可以自主验证设计方案的正确 性,对电路特性进行优化设计和模拟测试,大幅度提升工程师的设计效率,满足芯片日益缩短的上市周期要求。同时,通 过 EDA对设计的持续优化,设计企业可以让所设计的芯片具有更好的 PPA(能耗、性能、面积)表现。尤其是在 SOC(系 统级芯片)设计环节中, EDA在提升 PPA方面的作用表现的更为突出。 EDA对成本节省的效果十分明显。 据 Kahng教授在 2011年的测算,当年一颗最先进的 SOC,比如 5nm的芯片,在使用 EDA 情况下,设计费用应该在 4000万美元左右。但如果没有 EDA,即使不考虑时间成本,费用会增长 200倍。 数据来源: IBS,平安证券研究所 77 0.4 0.00 0.00 0.01 0.10 1.00 10.00 100.00 不应用 EDA 应用 EDA x 1 00 00 成本大幅节省 主要制程芯片开发成本及构成 EDA对芯片设计成本的改变(亿美元) 生态锁死: IP厂商、 EDA厂商、芯片设计公司及晶圆厂上下游企业深度绑定。尤其是在先进工艺节点上,各方通过紧密合作、反复迭代,最终 实现产品量产。龙头 EDA厂商也正是利用这种联盟,始终把控着设计工具的尖端市场。 技术难度大: 芯片上单位面积上集成的晶体管数量还在高速增加,物理和工艺问题日趋复杂,算法高度密集。因此,对设计工具的可靠性和经 验积累要求非常高,任何细微的电气特性的错误都会持续放大造成流片失败。此外,随着流片成本持续上扬,客户对流片失败的容忍度持续下 降,一般成熟的工具由于经过了市场的持续检验,不会出现由于工具问题造成流片的失败,相反新进入企业获得市场认可和信任的难度很大。 市场狭窄而且拥挤: 虽然支撑着超过 3万亿美元的大市场,但自身规模非常小,新进入者在这个市场上,将直接面临着巨头的竞争,被挤出市 场或者被收购的风险都很大。 行业市场规模大概相当于半导体行业销售规模的 2.5%左右,该比重这两年虽然有所提高,但是很难再往上 。这和 行业的工具属性和议价能力相对较弱有关系。 行业特点:生态锁死、技术难度大且进入门槛高 如果没有 EDA, IT 金字塔将 全面崩塌 IT支出: 3.6万亿美元 芯片: 4000亿美元 EDA: 100亿美元 IT产业链金字塔( 2019) 79 85 93 97 102.72 114.67 7.6% 9.4% 4.3% 5.9% 11.6% 0% 2% 4% 6% 8% 10% 12% 14% 0 20 40 60 80 100 120 140 2015 2016 2017 2018 2019 2020 市场规模 (亿美元 ) 同比增速 2015-2020年全球 EDA市场规模 3372.84 3346.76 4050.84 4703.44 4130.34 4367.96 2.3% 2.5% 2.3% 2.1% 2.5% 2.6% 2.0% 2.1% 2.2% 2.3% 2.4% 2.5% 2.6% 2.7% 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 2015 2016 1017 2018 2019 2020 IC收入(亿美元) EDA/半导体销售收入 2015-2020年 EDA与 IC行业规模比较 数据来源: ESD、 SIA,平安证券研究所 市场格局:美国市场掌控力最强,国产 EDA受挤压明显 从收入区域结构来看,美洲地区规模最大,其次是亚太(不含日本)。 ESD统计数据显示, 2020年, EDA美国市场销售收 入接近 49亿美元,占整个 EDA收入的比重为 43%;亚太地区(不含日本)占总收入的比重为 35%,其中最主要的市场是中国 大陆和台湾省;欧洲、中东和北非市场收入占总收入比重约为 14%。 美国在上游设计工具、核心 IP以及制造设备等领域有着强大的影响力,尤其是在 EDA领域,美国一家的增加值就占到全球 的 85%, EDA三巨头中前两家都是美国公司。美国竞争力最强的三个领域,恰恰是我国半导体行业的短板所在,其中 EDA 突破的难度和紧迫性都更高。 美洲 43% 欧洲、中东及北 非 14% 日本 8% 亚太 35% 2020年 EDA全球各主要市场收入占比 85% 52% 50% 12% 12% 5% 48% 0% 20% 40% 60% 80% 100% 美国在全球半导体价值链增加值中的占比( 2018) 数据来源: ESD、 SIA、 BCG,平安证券研究所 发展趋势:平稳并不平凡, AI芯片、云计算和异构等都存在机会 EDA作为一个成熟行业, Research and Markets预计 2019-2024年年间的平均增速为 7.8%,增长较为缓慢。但是,行业依然存 在行业性的发展机遇,尤其是在“云物移大智”广泛应用的当前和未来, EDA都面临巨大的机会。 面向 AI应用设计芯片,改变传统“一块芯片卖给所有人”的 模式,可能针对训练或者推理环节,或者具体的场景推出定 制化的设计工具。 利用 AI技术提升 EDA工具效率,布局绕线和验证环节已经表 现出比较大的潜力,未来有希望走向全自动化的芯片设计。 人工 智能 EDA 云化 利用云计算 +EDA模式,在线化提供 EDA工具和软件,降低 用户端在 IT基础设施方面的投入,降低客户整体资本支出; 收费模式也将转为按需、按时长或者使用模块量收费。 通过 EDA云化,可以提供混合云、公有云等环境的云化服 务,为客户提供模块可选、弹性算力、高可靠性等工具服 务。 异构 集成 异构集成将不同元器件用封装等形式集成到更高层次,可以 提供更强的性能和功能,尤其是应对工业等定制化场景,优 势明显。 帮助客户进行多界面物理分析; EDA还可在芯片设计早期进 行系统集成,建立从裸片 -封装 -PCB-系统的闭环建模和分析 流程;提供整个系统的设计和验证工具。 传统动力 新兴动力 PC和服务器 通信(含手机) 数据中心、云计算 AI应用 物联网 汽车电子 EDA行业新旧动力的转换 数据来源:平安证券研究所 目录 CONTENTS 寡头竞逐:通过高强度研发和频繁并购,垒就产品和生态高墙 现状与趋势: EDA门槛高、市场窄,但国产化必须突破 曙光初现:国产 EDA发展寒冬已过,但追赶之路道阻且长 1 4 投资建议及风险提示 全球格局:新思科技、楷登电子和西门子“三巨头”主导市场 全球 EDA市场被 Synopsys(新思科技)、 Cadence(楷登电子)和 Mentor Graphic( 2016年为西门子收购,收购后未公布公开 数据)主导,三家厂商的市场份额估计超过 60%。从产品和服务情况看,新思半导体、楷登电子这两公司竞争力比较强, 能够覆盖电子设计全部流程; Mentor虽然产品线没有其他两家全面,但在 PCB(印刷电路板)设计工具领域的优势明显。 其他厂商,如 ANSYS、 PDF SOLUTIONS、 SILVACO、华大九天等,多数都是在点工具上发力,还很难与三巨头抗衡。 新思半导体 32% 楷登电子 23% 其他 45% 数据来源: wind,平安证券研究所。注:新思半导体和楷 登电子均为其 2020财年数据,整体市场规模取自 ESD的 2020年年度市场规模,因此该结果为近似测算的结果。数据来源:公司网站,平安证券研究所 全球主要 EDA厂商 2020年全球 EDA市场格局 巨头特点:先发优势明显,技术、人才和生态壁垒高企 共同点: 1)拥有多年研发、专利和设计工具积累,先发优势凸显; 2)人才壁垒高企; 3)均同设计、制造等环节形成了 深度合作关系,新工艺、新 IP和新 EDA工具相互促进,生态健壮; 4)发展路径类似,内部研发和并购均在发力。 差异点: 各家在发力方向上有侧重。新思科技主要集中于复杂芯片的开发,综合工具和时序分析工具在市场上几乎一统江 山;楷登电子在模拟或混合信号的定制化电路、版图设计、 PCB设计方面有着较强的竞争力; Mentor在后端布局布线、 PCB方面有优势,但是工具集成度上较前两家弱一些。 步骤 流程 优势厂商 模拟仿真与版图 - Cadence 数字前端 RTL仿真 Synopsys、 Mentor综合 Synopsys、 Cadence 数字后端 - Synopsys、 Cadence DFT(可测试性技术) BSCAN Mentor、 Synopsys MBIST Mentor ATPG Mentor、 Synopsys MAX Scan chain Synopsys Signoff(设计复检和确认) Timing Synopsys主导物理 Mentor主导 数据来源:前瞻研究院,平安证券研究所 三家 EDA企业产品侧重点对比 新思科技:通过内生研发和外延拓展稳固其 EDA行业第一宝座 公司成立于 1986年,由通用电气 Aart de Geus带领的团队创立,是 EDA2.0时代的典型企业。公司从逻辑综合软件业务起 家,并通过市场拓展、外部并购以及内部研发等方式持续做大。公司在 2008年开始超越 Cadence成为全球第一大 EDA厂 商,此后持续保持着行业领先的地位。通过持续的并购和研发,公司不断获得新的能力和市场,收入保持稳步增长。 通用电气 Aart de Geus创立公 司,从逻辑综 合软件起家 1986 公司启动第 1次并 购,收购 Zycad公 司 VHDL仿真业务 19991990 通过持续收购确立 了在逻辑综合、模 拟和测试等领域的 优势地位。 1999年收 入突破 8亿美元 收购 Avant!公司,使得 公司形成了 IC前后端完 整设计方案,坐稳 EDA 市场第二的位子 2002 2005 公司超越 Cadence成 为全球最大的 EDA 公司 公司整合出功能强大 的 IC Compiler,可以 有效衔接公司的前后 端工具 20081995 公司正式 进入中国 市场 2012 收购当时全球第四 大 EDA工具商 Magma,提升了公司 在时序收敛方面的 能力 ONAP、 RChain和 Apollo等优秀开源 项目产能开始逐 步释放 2018 推出 DSO.ai、 RTL Architect、 3DIC Compiler,以及硅生命 周期管理( SLM)平 台等创新产品 2020 新思科技公司发展历程 数据来源:公司网站,平安证券研究所 新思科技:产品线最完整,优势在综合及 Signoff工具 新思科技居 EDA三大巨头之首,产品线最为完整,不但在为芯片设计和验证提供工具,还能够提供强大的 IP核以及安全方 案。公司在市场上最强的产品有两个:一个是公司看家本领 逻辑综合工具 DC( design compiler),另一个就是时序分 析工具 PT( prime time)。通过这两大产品, Synopsys建立了完整的芯片设计数字化流程,可以说是集广、大、全于一身。 新思科技主要产品及平台 数据来源:公司官网,平安证券研究所 新思科技:收入仍处在稳定增长期,但客户结构出现明显变化 公司收入延续持续增长势头。公司从 2006年开始,虽然经历多次宏观经济的波动,但收入仍保持着持续增长,而 2020年公司收入增 速还在加快。其中,公司的 license授权模式很大程度上平抑了需求上的波动,公司授权时间一般是三年,收入分年确认。 2020年,公司芯片设计、 PCB和 MCM(多组件芯片)保持增长,主要是因为新冠疫情防控的市场需求(如监测防控、检验检测、 治疗救治、远程办公等),给芯片、半导体、电路板等行业带来新的市场需求。疫情对公司的负面冲击也存在,由于大量工厂停 工,公司客户结构出现剧烈变化,造成 2020年公司 CAE(计算机辅助工程)领域的营收大幅减少。 62% 59% 57% 29% 31% 33% 9% 10% 10% 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 2018财年 2019财年 2020财年 软件集成产品及服务 IP及系统集成 EDA -20% -10% 0% 10% 20% 30% 40% 0 5 10 15 20 25 30 35 40 2000 财 年 2001 财 年 2002 财 年 2003 财 年 2004 财 年 2005 财 年 2006 财 年 2007 财 年 2008 财 年 2009 财 年 2010 财 年 20 11 财 年 2012 财 年 2013 财 年 2014 财 年 2015 财 年 2016 财 年 2017 财 年 2018 财 年 2019 财 年 2020 财 年 收入(亿美元) 同比增速 数据来源:公司年报,平安证券研究所 新思科技 2018-2020财年收入结构(按产品)新思科技各财年收入及同比增速 新思科技成功之钥 1:同设计、代工等企业保持着紧密的客户关系 EDA作为设计工具软件,虽然设计和验证可以选用不同厂家的产品,但是客户粘性还是非常的高。针对大客户,新思科技 通过合作研发等方式提供设计、验证解决方案。目前,公司主要的合作伙伴包括 ARM、英特尔、三星、台积电、格罗方德、 联电、以色列高塔半导体 等。 ARM虽然体量不大,但其作为移动、汽车电子以及嵌入式芯片领域的 IP授权龙头,生态网络 健全。公司通过与 ARM深度合作,可以快速渗透到所有 ARM-Based芯片设计厂商;此外,公司与英特尔的绑定也非常深。 客户 类型 合作情况 ARM IP 授权厂商 通过提供 Fusion Design Platform、 Verification Continuum平台和 DesignWare接口 IP组合产品,为基于 Arm的芯片提供了 卓越的功耗、性能和面积,大幅缩短了芯片上市时间。 英特尔 IDM 为英特尔 10nm、 14nm、 22nm工艺提供 IP和设计流支持。 14nm主要提供新思设计平台、 RTL-to-GDSII方法, 10nm主 要为 IC Compiler II解决方案,提供优秀的 PPA表现(能耗、性能和面积)。 三星 IDM 双方合作时间非常长,双方共同研发一整套可定制的 iPDK(可互操作工艺设计套件)组合、方法学和设计流程的开 发和验证,涵盖了 3nm-14nm先进节点,以及 65nm到 130nm的传统节点。 台积电 代工厂 双方长期在 IP授权、设计工具等领域进行合作,公司也在积极帮助使用新思设计平台的客户,能够顺畅导入到台积 电的工艺上来,同时提供 DesignWare设计库工具。 AMD 设计厂 公司为 AMD提供全流程数字化设计化平台 Fusion Compiler。 格芯 代工厂 合作较早,从 180nm工艺时就是公司的客户, 22nm工艺上在用公司的 RTL-to-GSDII解决方案,同时在 14nm和 12nm设 计平台和 DesignWare设计库工具。 资料来源:公司官网,平安证券研究所 新思科技与设计、代工等主要企业合作情况 新思科技成功之钥 2:持续高强度研发,形成技术和 IP门槛 新思科技在 EDA市场的坚实地位,很大程度上依托其在研发方面的持续高投入。公司每年研发费用占收入的比重高达 35%左 右, 15000名员工中有 80%是工程人员。 持续高额的研发投入,一方面使得公司 EDA产品的深度和广度都得到持续的提升,形成了一栈式的设计、验证方案的提供能 力;另一方面也使得公司成为了 IP授权领域的巨头。 IPNest数据显示, 2020年,公司在全球 IP市场上稳居第二位,仅排在 ARM之后,尤其是在有线接口、模拟与混合信号、内存编译器或其他内存编译器等领域,均处于市场领先。 35.36% 33.35% 34.76% 33.83% 34.71% 32.0% 32.5% 33.0% 33.5% 34.0% 34.5% 35.0% 35.5% 36.0% 0 2 4 6 8 10 12 14 2016财年 2017财年 2018财年 2019财年 2020财年 研发费用(亿美元) 占营收比重 数据来源:公司年报,平安证券研究所 ARM 41% 新思科技 19%楷登电子 6% Imagination 3% Ceva 2% 其他 29% 数据来源: IPnest,平安证券研究所 新思科技研发费用及占营业收入比重 全球 IP授权市场结构 新思科技成功之钥 3:大量并购,形成设计前、后端完整能力 主要并购诉求: 从公司的定位看,就是要为客户提供整体的 优化设计环境,通过持续并购打造完整的产品拼图是实现这 一定位的最好方式。公司首次并购发生在 1990年,并持续到 现在,总计超过百起,前后端能力持续得到补强。 近年来, 公司在有意识地通过并购补齐软件、 IP和安全方面的能力。 重要并购: 1) 1990年,收购了 Zycad公司的 VHDL仿真业务,使得公司掌 握了前后端一体化的 EDA能力。 2) 2001年,公司斥资 8.3亿美元收购 Avant!,进一步提升了 后端布局与布线方面的能力。凭借着这一优势,公司在 2008 年收入超越 Cadence居市场首位。 3) 2012年,公司收购当时全球第四大 EDA厂商 Magma,时序 收敛上的能力明显提升;同年,公司收购了中国台湾的思源 科技 (SpringSoft),补齐了系统级芯片的纠错与全定制工具。 4) 2020年,公司并购步伐还在加速,完成收购 6起,包括 INVECAS部分 IP资产、芯片内监控解决方案厂商 Moortec。 标的公司 主要业务 时间 Zycad VHDL仿真业务 1990 Avant! 后端布局与布线、分析和提取工具 2001 Synplicity FPGA和快速原型设计业务 2008 Chipidea 模拟与混合信号 IP 2009 VirageLogic IP厂商 2010 Ciranova 提供模拟和混合信号 IC布局工具 2012 Magma 芯片软件设计 2012 SpringSoft 验证、纠错和全定制解决方案 2012 EVE 验证、加速 2012 Luminescent 掩膜处理 2012 Elliptic Technologies 安全知识产权 2015 Kilopass 一次性可编程非易失性存储器 IP 2018 Black Duck Software 开源软件安全和管理的自动化 2017 QTronic GmbH 汽车软件和系统开发仿真、测试工具 2019 DINI Group 基于 FPGA的电路板和解决方案 2019 Tinfoil Security 动态应用安全测试( DAST)和应用程序接口 ( API)安全测试解决方案 2020 eSilicon 部分 IP 资产 2020 INVECAS 部分 IP 资产 2020 MorethanIP 10G到 800G数据速率以太网控制器 IP 2021 公司成立以来重要收购情况 资料来源:公司官网,平安证券研究所 新思科技的未来:发力汽车电子设计工具和 IP,探索云化转型 横向拓展: 顺应当前客户结构变化的大趋势,更加关注新领域尤其是汽车电子客户的在设计和 IP方面的需求。 模式转变: 探索云化转型,逐步改变传统依托授权的模式,利用云化实现按需、按使用时长付费,提高用户粘性。 数据来源:公司官网,平安证券研究所 新思科技 ADAS (高级辅助驾驶) SOC芯片 IP 新思科技设计工具云化解决方案 楷登电子:公司具备全流程电子设计产品线,率先进入中国市场 公司由 ECAD Systems和 SDA Systems两家 EDA创业公司在 1988年合并而成。公司通过持续研发和并购,形成了覆盖电子设 计的整个流程的产品线,与新思科技在这个市场上持续竞争。值得关注的是,公司在 1992年就率先进入了中国大陆市场。 ECAD Systems 和 SDA合并而成, Candence正式成 立 1988 公司开发了首款使用 SKILL语言 创建定制的 IC设计解决方案 Analog Artist,并收购 Tangent Systems 成为 IC CAD的头号供应 商 1998 1989 收购 Quickturn,成 功立足仿真硬件和 软件市场,后演变 为 Palladium 收购 OrCAD,收获 EDA行业 PCB板设 计软件及服务的最 大客户群 1999 2010 推出首款 DDR4和 宽带 I/O IP解决方 案 收购 Denali Software,获得 其著名的存储 IP 2011 1990 收购 Gateway Design Automation,将 Verilog语言引入公 开领域 2013 和 ARM联合推出 Cortex- A57处理器;推出 Tempus时序签核解决 方案,掀起新一轮基于 创新技术的数字设计工 具浪潮 凭借 Palladiu Z1带 领市场进入数据 中心级仿真新时 代 2015 收购 Intergrand Software、 AWR Corporation等,加速 5G RF通信领域创新 2020 1992 公司在业内已 占据龙头地位, 正式进入中国 市场 公司发展历程 数据来源:公司官网,平安证券研究所 楷登电子:在模拟、 PCB设计等细分领域的能力更为突出 楷登电子为 EDA业界第二厂商,仅次于新思科技。公司拥有一套完整流程的电子设计工具,覆盖从半导体芯片到电路板设 计乃至整个系统,全球知名半导体与电子系统公司均将楷登电子软件作为其全球设计的标准。 公司在模拟或混合信号的定制化电路、 PCB电路设计、版图设计方面的能力更胜一筹。公司的收入自 2009年起一直呈现上 升的趋势, 2020财年公司获得收入 26.83亿美元,同比增长 14.85%。 数据来源:公司年报,平安证券研究所 楷登电子产品体系 -40% -30% -20% -10% 0% 10% 20% 30% 0 5 10 15 20 25 30 2000 财年 2001 财年 2002 财年 2003 财年 2004 财年 2005 财年 2006 财年 2007 财年 2008 财年 2009 财年 2010 财年 20 11 财年 2012 财年 2013 财年 2014 财年 2015 财年 2016 财年 2017 财年 2018 财年 2019 财年 2020 财年 收入 ( 亿美元 ) 同比增速 楷登电子各财年收入及同比增速 楷登电子:通过持续的高研发投入和外延兼并保持市场地位 公司在 EDA市场的领先地位得益于公司长期高研发投入积 累的研发创新能力,以及数十次的通过外延兼并覆盖产业 和技术。公司多年来持续高强度的投入,研发占比维持在 40%左右。 2020财年,公司研发费用为 10.34亿美元,占公 司总收入比重为 38.54%。 标的公司 主要业务 时间 Tangent Systems 基本标准单元 Cell 3 Ensemble和门 阵列的布局布线产品 Gate Ensemble 1989年 Gateway Automation Verilog语言和 RTL仿真器,门级逻辑 仿真器 Verilog-XL 1990年 Valid Composer模拟版图提取工具(应用 于门列设计和 PCB设计) 1991年 Comdisco Systems和 Redwood Design Automation Signal Processing Worksystem, Bones Designer, ESDA 1994年 Quickturn 硬件仿真和软件 1998年 OrCAD PCB板设计软件及服务 1999年 Silicon Perspective First Encounter芯片布局, SI分析工 具 CadMos 2001年 IBM硬件仿真业务 硬件仿真业务 2002年 Denali 存储 IP和 VIP 2010年 Tensilica、 Cosmic Circuits、 Evatronix、 Transwitch IP产品 2013年 Jasper Design Automation 和 Forte Design Systems 形式验证 2014年 National Instruments AWR 和 Intergrand Software 高速微波射频仿真 2020年 7.35 8.04 8.85 9.36 10.3440.47% 41.38% 41.39% 40.06% 38.54% 0% 5% 10% 15% 20% 25% 30% 35% 40% 45% 0 2 4 6 8 10 12 2016财年 2017财年 2018财年 2019财年 2020财年 研发费用(亿美元) 占比 数据来源:公司官网、年报,平安证券研究所 公司研发费用及占比 公司成立以来重要收购情况 楷登电子:美国和亚洲是其主要市场,中国市场的重视度非常高 我们从公司的收入来源地看,美洲、亚洲市场是最主要的市场,其中来自美洲市场的收入占总额的 42.29%,来自中国、日本及其 他亚洲国家的收入总占比达到 39.99%。 公司对中国市场非常重视,在北京、上海、深圳等地设立了分公司。 2020财年,公司来自中国市场的收入占公司总营收比重的 15.17%。公司积极与本土厂商合作,并为本土厂商、高等院校、初创企业等提供本地化服务。 数据来源:公司年报,平安证券研究所 美洲 , 42.49% 中国 , 15.17%日本 , 6.67% 其他亚洲国家 , 18.15% 欧洲、中东、非 洲 , 17.52% 美洲 中国 日本 其他亚洲国家 欧洲、中东、非洲 楷登电子 2020财年各地区收入占比 26% 25% 25% 29% 30% 29% 24% 23% 22% 12% 13% 14% 9% 9% 10% 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 2018财年 2019财年 2020财年 定制 IC设计和模拟 数字设计 验证 IP 系统设计分析 楷登电子 2018-2020财年收入结构(按产品) Mentor Graphics:公司起步较早, PCB解决方案优势突出 Mentor Graphics成立于 1981年,上世纪 80年代曾一度是 EDA市场上的龙头。但随着 Synopsys和 Candence的进入、 EDA市场竞
展开阅读全文
相关资源
相关搜索
资源标签

copyright@ 2017-2022 报告吧 版权所有
经营许可证编号:宁ICP备17002310号 | 增值电信业务经营许可证编号:宁B2-20200018  | 宁公网安备64010602000642