资源描述
大 算 力时 代 的先 进 封装 投 资机 遇(更 新)分析师:刘双锋SAC编号:S1440520070002发布 日期:2023 年4 月4 日分析师:范彬泰SAC编号:S1440521120001证 券 研 究 报 告 电 子 行 业 报 告 算 力 芯 片 系列本报告由 中信建 投证券 股份有 限公 司在中华 人民共 和国(仅为本 报告 目的,不 包括香 港、澳 门、台 湾)提供。在 遵守适 用的法 律法规 情况 下,本报 告亦可 能由中 信建投(国 际)证券 有限公 司在香 港提供。同时请参 阅最后 一页的 重要声 明。核心观点大 算 力 应 用 如 高 性 能 服 务 器(HPC)和 自 动 驾 驶(ADAS)取 代 手 机/PC 成 为 新 一 轮 半 导 体 周 期 驱 动 力,后摩 尔定 律 时代 高端 封装 工艺 迭代 成为 新的 发展 趋势。以Chiplet 为代表的2.5D/3D 封 装形式成为大芯片标配,TSV/RDL/Fan-out 等 高 端 封 装 技 术 带 来 封 装 环 节 价 值 占 比 提 升。全 球 晶 圆 代 工 龙 头 台 积 电 打造全球2.5D/3D 先 进 封 装 工 艺 标 杆,未 来 几 年 封 装 市 场 增 长 主 要 受 益 于 先 进 封 装 的 扩 大。先进封装市场的快速增 长,有望成为国内 晶圆代工厂商(中 芯国际)与封测厂 商(长电科技、通 富微电和深科技)的新一 轮成 长驱 动 力。XVDWxOqNtQqRpRtQrMtMpO9PcM6MoMqQnPnOeRpPtPjMoOoQ7NmMzRMYmPwOuOmNoR报告核心观点摘要1、应 用:大算力 应用如 高性能 服务器(HPC)和自动 驾驶(ADAS)取 代 手 机/PC 成为新一轮 半导体 周期 驱 动力,后摩尔 定律时 代高端 封装工 艺迭代 成为新 的发展 趋势。以台积电下游应用来看,HPC 的收入增速从2020年Q3 超过手机后保持持续领先,对应的营收占比在在2022 年Q1 首次超过手机成为台积电下游第一大应用,相比之下封测厂商在高价值量的运算类电子占比仅为16%。我们认为随着大算力需求提升,先进封装替代先进制程成为降低单位算力成本的最佳方案,进而拉高运算电子在封测厂商的价值量。2、工 艺:以Chiplet 为代表的2.5D/3D 封 装形 式成为 大芯片 标配,TSV/RDL/Fan-out 等高端封 装技术 带来 封 装环节 价值占 比提升。半导体价值量的增长下游从手机/PC 向高算力的HPC 和ADAS 转移,封装工艺开始向Chiplet 为代表的2.5D/3D 封装转移,从封装工艺流程来看,晶圆代工厂基于制造环节的的优势扩展至TSV 工艺,封测厂参与较多的是RDL 和Fan-out 等封装工艺,随着高算力芯片整体封测市场扩容,封测厂商逐步扩大2.5D 和3D 封测布局。3、市 场:全球晶 圆代工 龙头台 积电打 造全球2.5D/3D 先 进封 装工艺 标杆,未来几 年封装 市场增 长主要 受益 于 先进封 装的扩 大。目前先进封装营收规模最大是晶圆代工龙头台积电,预计2022 年先进封装贡献了53亿美元,全球封测龙头日月光和安靠都推出了3D 封测工艺平台,积极抢占先进封装的份额。预计2027 年先进封装市场规模增至651亿美元,2021-2027 年CAGR 达到9.6%,先进封装成为大算力时代封装厂商新的增长动能。4、建 议关 注标的:中芯国际(国内逻辑芯片代工龙头,Q2 稼动率见底,行业周期反转在即)长电科技(2H22 推出XDFOI 为代表的2.5D/3D 封装工艺平台)通富微电(绑定AMD 推出GPU/CPU/ASIC 芯片chiplet 解决方案)深科技(存储封测龙头,能够实现8 层和16层存储芯片堆叠工艺)目录一、应用:手机封 装工艺-汽车封装 工艺-HPC二、工艺:先进 封 装与2.5D/3D 封 装关键 工艺三、空间:行业 规 模与龙头四、供给:国内 晶 圆厂与封 装厂 2022 年海外与中国大陆的逻辑类IC 封测厂商实现双位数增长 2022 年全球海外前十大封测厂商营收合计达到277亿美元,yoy+9%,逻辑IC 封测和测试厂商成长明显,DDIC 类封测公司受到行业周期下滑较多。2022 年中国大陆前十 大封测厂商营收合计达到765 亿元人民币,yoy+14%,逻辑IC 封测和 专业测试厂商实现大幅增长。图表:海 内外 封测 大厂 营 业收 入资 料 来 源:各公司公告,中信建投,注:深科技、晶方科技、颀中科技2022 年营收是年化所得,其余来自公司公告封测类型 国际大厂 证券代码 2021年 2022 年 yoy%封测类型 国内龙头 证券代码 2021年 2022 年 yoy%逻辑类 日月光 3711.TW 11,713 13,066 12%逻辑IC 长电科技 600584.SH 30,502 33,762 11%逻辑类 安靠 AMKR.O 6,138 7,092 16%逻辑IC 通富微电 002156.SZ 15,812 21,429 36%存储类 力成 6239.TW 2,995 3,000 0%逻辑IC 华天科技 002185.SZ 12,097 11,906-2%CIS 精材科技 3374.TWO 274 276 1%逻辑IC 甬夕电子 688362.SH 2,055 2,184 6%DDIC 颀邦科技 6147.TWO 973 859-12%存储IC 深科技 000021.SZ 2,885 3,058 6%DDIC 南茂科技 8150.TW 984 844-14%CIS 封测 晶方科技 603005.SH 1,411 1,145-19%测试类 京元电子 2449.TW 1,211 1,317 9%DDIC 颀中科技 A22097.SH 1,320 1,372 4%测试类 欣铨 3264.TWO 426 518 22%DDIC 汇成股份 688403.SH 796 940 18%测试类 夕格 6257.TW 596 722 21%测试类 伟测科技 688372.SH 493 733 49%25,309 27,694 9%67,372 76,529 14%营业收入 单位:US$M 营业收入 单位:RMB¥M合计 合计 2022 年Q1 开始HPC 超越手机成为半导体第一大需求驱动力 2020年第三季度台积电HPC 的收入增速首次超过手机应用后持续保持领先,营收占比也在2022 年第一季度超过智能手机,成为逻辑芯片领域最重要的成长驱动力。2020 年第三季度开始,汽车电子领域增速持续走高,在台积电所有下游应用领域中保持最高的成长速度。资料来源:台积电,中信建投图 表:2018-2020 年台 积电 手机 与HPC 收入 占比-50%-30%-10%10%30%50%70%90%110%130%150%手机 HPC 物联网汽车 消费电子 其它25%30%35%40%45%50%55%手机 高 性 能计算(HPC)资料来源:台积电,中信建投图 表:2019-2020 年台 积电 各应 用领 域营 收增 速 HPC 封装价值并未流向OSAT 厂商,主要由晶圆代工厂承接 全球 封测 厂商 排 名 前两 位的 是日 月 光 投控 与安 靠,按 照 下游 应用 占比 来 看,手 机依 然是 封 测 领域 占比 最 高的,营收 占 比高 达40-50%,与晶 圆代工 龙头台 积电的 晶圆代 工应用 占比匹 配。计 算 领域日 月光与 安靠的 营收占 比均为16%,明 显低 于HPC 领域 晶圆 代 工环 节 超过40%的营 收占比,可见HPC 领域的封 测并未 由OSAT 厂商 承接,而是留 在台积 电等聚 焦先进 制程代 工的晶 圆厂体内。图 表:日月 光投 控(包含 夕品)封测 下游 应用 占比%图 表:2021 年安 靠封 测下 游应 用占 比%53%52%53%52%52%53%53%53%14%15%15%16%16%16%16%16%33%33%32%32%32%31%31%31%0%10%20%30%40%50%60%70%80%90%100%21Q1 21Q2 21Q3 21Q4 22Q1 22Q2 22Q3 22Q4通讯 电脑 汽车、消 费电子 及其他资料来源:日月光,中信建投 资料来源:安靠,中信建投 ChatGPT 引领算力新时代,HPC 封装成OSAT厂商必争之地 2019 年 开启 的5G 手机浪 潮推动 了射频(RF)封 装工艺 向SiP 和AiP/AOP 领域 发展,摄 像 头升 级 也拉动了CIS 的封 装需 求 爆发。随着5G 手机渗透 率逐步 饱和,服务器(HPC)需求成 为半导 体行业 新的驱 动力,不同于 智能手 机追求 轻 薄和 微 缩的 追 求,服 务器 芯 片更 注 重算 力 提升,以Chiplet 为代表的2.5D 和3D 封装工艺 成为封 装需求 的重 要引 擎。图表:智 能手 机核 心元 件 的封 装工 艺 图 表:AMD 小芯 片(Chiplet)设 计与 先进 封装资料来源:安靠,中信建投 资 料 来 源:AMD,中 信建投 汽车芯片封装趋势:Fan-out、SiP 等先进封装需求增长 在汽车领域,先进的驾驶员辅助系统(ADAS)、电气化和虚拟驾驶舱等智能化升级,对于先进封装的需求快速增加,尤其是ADAS 对于大算力芯片使用量大幅提升,带动2.5D/3D 封装需求。汽车电子是SiP 封装的重要应用场景,SIP 封装为汽车客户提供一个平台,将微处理器和其他功能模块如SerDes、PMICs、存储、MEMS 传感器等整合在一起成为完整的控制系统,在ECU、ABS、方向盘控制系统、座舱娱乐系统等各个单元得到采用。扇出形封装(Fan-out)已经在汽车毫米波雷达中得到了应用,在77GHz 毫米波雷达上的性能已被证明优于FCBGA 等其他封装形式,因为RDL 能够实现低损耗布线和卓越的RF 性能。图表:汽 车电 子常 用封 装 方案资料来源:安靠,中信建投图 表:Molded Cavity 和Multi-sensor 集 成 光学 传感 器封 装资 料 来 源:Chip Scale Review,中 信 建投 一、应用:手机封 装工艺-汽车封装 工艺-HPC二、工艺:先进 封 装与2.5D/3D 封 装关键 工艺目录三、空间:行业 规 模与龙头四、供给:国内 晶 圆厂与封 装厂 AI 应用对性能的极致追求与先进封装技术的发展相辅相成 除了AI 芯 片 架 构 本身的进展外,更高性能的芯片往往离不开先进封装。在AI 运算中,神经网络参数(权重、偏差、超参数和其他)需要存储在内存中,常规存储器与处理器之间的数据搬运速度慢,成为运算速度提升的瓶颈,且将数据搬运的功耗高。目前AI 芯片通过NMP(近内存处理器)和PIM(存内处理)的架构设计降低上述限制。例如NMP 包括2.5D封装逻辑芯片与HBM,3D 封装逻辑芯片与堆叠的DRAM(HMC)。异 构 集 成突破先进制程的限制,降低单位算力成本:在上述方案中,DRAM、逻辑和其他模块来自不同的工艺节点,并使用先进封装技术进行连接,形成异构集成。随着人工智能架构变得越来越普遍,未来AI 芯片还将集成来自其他领域(如模拟、射频和光子学)的模块,以及不同的工艺节点,满足更多的应用需求。图 表:AI 封 装架 构 图 表:AI 计 算“内存 墙”NMP:逻辑芯片 与HBM 2.5D封装 NMP:逻辑芯片 与HBC 3D封装多芯片PIM 加速器 逻辑、存 储未来 与其他 领域模 块形成的异 构集成 芯片资料来源:知存科技,中信建投 资 料 来 源:Chip Scale Review,中 信 建投 Chiplet 成为后摩尔时代发展趋势 后 摩 尔 时代Chiplet 封 装为 芯片 制造 提供 了性 能与 成本 平衡的最佳方案。随着半导 体工艺尺寸进一步缩小,集成电路制造面临的挑战日益增大,摩尔定律日趋放缓,单位晶体管的成本不降反升,应用先进制程的芯片研发费用大幅增长。Chiplet 即“小芯片”,是指预先制造好、具有特定功能、可组合集成的晶片(Die)。Chiplet 技术背景下,可以将大型单片芯片划分为多个相同或者不同的小芯片,这些小芯片可以使用相同或者不同的工艺节点制造,再通过跨芯片互联和封装技术进行封装级别集成,以在功率、性能和成本方面找到优化的平衡。图 表:将大 芯片 转换 为Chiplets 进行 异构 集成图表:先 进制 程芯 片的 研 发费 用大 幅上 升28.537.751.370.3106.3174.4297.8542.2010020030040050060065nm 40nm 28nm 22nm 16nm 10nm 7nm 5nm研发费用(百万 美元)图 表:每百 万门 晶体 管的 成本在28nm 后开始上 升资 料 来 源:Chip Scale Review,中 信 建投 资 料 来 源:IBS,中信建投资 料 来 源:IBS,中信建投 Chiplet 为芯片制造提供了性能与成本平衡的新方案 Chiplet 封 装 采 用“小芯片”异构集成,大幅提升制造良率。理论上,如果Die 尺寸足够小,Wafer 的利用率可达100%。如果考虑缺陷,随着Die 的减小,良率将得到提升。因此近几年全球晶圆制造厂商积极发展先进封装工艺,并且增加2.5D 和3D 封装的资本开支,在后摩尔定律时代布局Chiplet 成为半导体行业发展的必然趋势。AMD 以实现性能、功耗和成本的平衡为目标,推行Chiplet 设计,并提出performance/W 和performance/$衡量标准。Chiplet 具有成本效应,但其造价随着核数的下降而变缓,因此可能有一个价格的均衡点来判断是否采用Chiplet 技术。AMD采用“7 nm+1 4 nm”的 芯片组方案相较于采用7nm制造同样多核的芯片成本下降了50%左右。图 表:用Chiplet 技术 的7nm+14nm 的 造价 vs 7nm资 料 来 源:AMD,中 信建 投 资 料 来 源:Wikichip,中信建投图 表:Chiplet 有利于 提升 良率 2D-3D 的先进封装依据物理结构和电气连接特征区分 如果说chiplet 是一种 芯片 设计方法,异构 集成即 是一种 芯片 封装 方法。为使 异构集 成的Chiplet 封装实现,需要借 助到2D/2.1D/2.3D/2.5D/3D 等一系 列先进 封装工 艺。先 进封装 的不同 层次主 要依据 多颗芯片 堆 叠的 物 理结 构 和电 气 连接 方 式划 分,例 如2D 封装 中 的芯 片 直接 连 接到 基 板,其 他封 装 则以 不 同形式的中 介层完 成 互联。图表:先 进封 装的 层次 图表:先 进封 装依 据互 连 密度 和性 能排 名资 料 来 源:Recent Advances and Trends in Advanced Packaging,中信建投 资 料 来 源:Recent Advances and Trends in Advanced Packaging,中信建投 2D-2.5D 在XY平面上封装多颗芯片,中介层是主要差异点 2D:2D 封装是指在基板的表面水平安装所有芯片和无源器件的集成方式,芯片之间的连接主要通过基板实现,少数通过键合线直接连接。2D 典型案例包括TSMC的InFO 封装技术。2.5D:2.5D 封装特指采用了中介层(interposer)的集成方式,中介层多采用硅材料。芯片通常通过MicroBump 和中介层相连接,作为中介层的硅基板采用Bump 与基板相连,硅基板上下表面的上下表面的电气连接通道通常由RDL 与贯穿硅基板的TSV 构建。2.5D典型案例包括TSMC 的CoWoS-S 技术。2.1D/2.3D:介于2D 和2.5D 硅转接板之间,2.1D/2.3D 之间的间隙较为模糊,主要特点是采用薄膜、有机中介层、高密度RDL 或嵌入基板的硅桥替代中介层进行互连,典型的案例包括Intel 的EMIB 封装技术。由于不采用硅中介层有 利 于降低成本,2.1D/2.3D 在一些应用中被视作2.5D 封装的一种替代选择。图 表:2D 与2.5D 封装(下)结 构示 意图资 料 来 源:SiP 与先进封装,台积电,中信建投图 表:2.1D 2.3D 2.5D 封 装结构 对比资 料 来 源:Recent Advances and Trends in Advanced Packaging,中 信 建投 3D封装在Z 轴上延伸物理结构,TSV 可实现高密度电气互连 3D with TSV:目前3D 封装通常特指芯片通过TSV 直接进行高密度互连,典型的案例即HBM。3D without TSV:虽然多颗芯片在物理结构上呈现3D 堆叠形态,但其电气互连上均需要通过基板(除极少数通过键合线直接连接的键合点),即先通过键合线/凸点连接到基板,然后在基板上进行电气互连,而非TSV。在某些场景下,此类集成也被归类为2D+集成以与3D TSV 进行区分,典型案例即TSMC的InFO_PoP。图 表:3D with TSV案例图 表:A10 处理器 采用 的InFO_POP 封装 即3D without TSV图 表:3D without TSV案例资 料 来 源:Recent Advances and Trends in Advanced Packaging,中信建投 完成前道工序的硅晶圆中TSV 制造工艺 对应用3D IC 封装技术的有源器件晶片,主要使用via-middle 工艺制造TSV。由于fab 拥有相关设备,具备相关专业知识技能,有源器件的TSV 应由fab 厂制造,并且制造TSV 的成本不到制造(32nm)器件晶片的成本的5%。MEOL步骤:通过凸点下金属化(UBM)以及使用C4(普通晶圆凸点)焊接到整个晶片 用粘合剂将TSV 晶片临时粘合到载体晶片上 再将TSV 晶片反向研磨至铜填充TSV 顶部几微米 进行硅干法蚀刻,直到铜填充TSV 顶部以下几微米 在整个晶片上进行低温隔离SiN/SiO2 沉积 使用CMP 去除SiN/SiO2 和Cu 以及Cu 填充TSV(Cu 显露)的晶种层 在铜填充TSV 的顶部制备UBM。上述所有步骤应由OSAT 完成。TSV Via-Middle 工艺:在FEOL(用于对器件进行图案化)和MOL(用于形成金属接触)之后,通过五个关键步骤制造TSVs:蚀刻形成通孔 通过等离子体增强化学气相沉积(PECVD)电介质 通过物理气相沉积(PVD)阻挡层和种子层 使用电镀铜填充和化学机械抛光(CMP)去除覆盖的铜金属层的堆积 最后是钝化/开口(BEOL)。所有这些步骤都应在FAB 中完成。资料来源:半导体百科,中信建投图 表:TSV Via-Middle 工 艺制造 存储 器的 关键 步骤 和所 有权 硅中介层上TSV 的制造工艺 对于无源转接板(例如2.5D 封装中的硅转接板),TSV 和RDL 既可以由fab 制造也可由OSAT制造,取决于布局,设计和制造能力,尤其是RDL 的线宽和间距。通常,OSAT 可以完成几微米的线宽和间距。TSV 与RDL步骤:在一块硅衬底(无有源器件)上沉积钝化层 制作TSV 构建RDL 并进行钝化/开口封 装 与 测试步骤:剥离载体1 进行C2W 键合(器件芯片与TSV 晶片的键合)剥离载体2 并且TSV 晶片被切割成单独的TSV 模块TSV 模块可以组装在封装基板上进行测试。资料来源:半导体百科,中信建投图 表:无源 转接 板上TSV 制备 的关 键步 骤和 所有 权MEOL步骤:在UBM 之后,将TSV 晶片临时粘合到载体1 进行背面研磨 硅蚀刻,低温钝化和铜暴露 完成UBM C4 工艺以及与载体2 的临时粘合 不带TSV 的器件晶圆分别用微焊料凸点或带有焊帽的Cu 柱对存储器晶片进行微凸点处理 将器件晶片切成有微凸点/Cu 柱的单个芯片。台积电先进封装技术平台 3D Fabric 台 积 电已将 其先进 封装技 术整合 为一个 品牌 3D Fabric,其中 包含三 大技术 平台 CoWoS(Chip on Wafer on Substrate),2.5D 晶圆 级封装 技术。InFO(Intergrated Fan-Out),采用RDL(重新布 线层)代替硅 中介层,无需TSV。SoIC(System on Integrated Chips),前 端封装 技术,具有高 密度垂 直堆叠 性能。图表:台 积电 先进 封装 技 术平 台 图表:台 积电 不同 封装 技 术的 封装 密度 和键 合间 距资料来源:台积电,中信建投 资料来源:台积电,中信建投 CoWoS:适用于HPC 与AI 计算领域的2.5D 封装技术图 表:台积 电CoWoS 技术 平台资料来源:台积电,中信建投CoWoS-SCoWoS-RCoWoS-L CoWoS 为HPC 和AI 计 算领域广泛 使用的2.5D 封装技术。台积 电早 在2011 年推 出CoWoS 技术,并 在2012 年 首先 应用于Xilinx 的FPGA 上。此后,华为海思、英伟达、谷歌 等厂商 的芯片 均采用 了CoWoS,例如GP100(P100 显卡核 心),TPU 2.0。如今CoWoS 已成为HPC 和AI 计算 领域广 泛应用 的2.5D封 装 技术,绝大 多 数使 用HBM 的高 性能 芯片,包括大 部 分创企 的AI 训练芯 片都应 用了CoWoS 技术。CoWoS 可 以分为为CoWoS-S、CoWoS-R 和CoWoS-L三种。CoWoS-S 基于硅中介层为先进SoC 和HBM 提供系统集成;CoWoS-R 更强调小芯片间的互连,利用RDL 实现最小4m 的布线;CoWoS-L 则是最新的CoWoS 技术,结合了CoWoS-S和InFO 两种技术的优点,使用RDL 与LSI(本地硅互连)进行互连,具有最灵活的集成性。InFO:适用于移动设备、HPC 的封装技术 InFO 平 台提供 针对特 定应用 优化的 各种 2D 和 3D 封 装方案。InFO 技术 首度使 用于iPhone 7中的A10,相比于三星 的PoP 封装技术,在封 装厚度 上有明 显改善,InFO 封装也 成为了 台积电 独占苹 果A系 列 处理 器 订单 的 关键 技 术之 一。InFO 用于 各 种应 用 的高 密 度互 连 和性 能,例 如 移动 设 备、HPC等。InFO 主 要分为InFO_PoP(层叠封 装)和InFO_oS(基板 上的InFO 封装)。InFO_PoP 是行业中首款3D 晶圆级扇出封装,专注于DRAM 与逻辑芯片的集成,顶部DRAM 裸片上的凸块利用直通互联通孔(TIV)到达再分布层(RDL),主要应用于移动平台;InFO_oS(基板上)可封装多个裸片,高密度的RDL 及其微突块通过TSV 连接到基板,专注于HPC 客户。资料来源:台积电,中信建投 资料来源:台积电,中信建投图 表:InFo_PoP 拓扑 图 图 表:InFo_oS 拓扑 图 InFO:新型拓扑方案有望改善性能并扩大应用场景 InFO_B:InFO_PoP 的DRAM 封 装 是定 制 设计,只能在 TSMC 制 造。另 一种 InFO_B 拓 扑 正在开发中,以使(LPDDR)DRAM 封 装 能够 由 外部 合 同制造商/OSAT 提供。InFo_L:集成LSI 的InFO 变体,LSI 用于两 个芯片 之间的通信,达到 极 致互连带 宽和成 本 的折中。InFo_oS 封 装体 系下的 新配置:一种 潜在 的InFO_oS 配置,逻 辑芯 片 被I/O SerDes 小 芯片 包围,以支持 高速/高基 数网络 交换机。图 表:InFo_PoP 向InFO_B 的转 变图 表:HPC 应用的InFO_oS资料来源:台积电,中信建投 资料来源:台积电,中信建投图 表:InFO_oS 对比InFo_LSI资料来源:台积电,中信建投 SoIC:用于3D 异构集成的技术平台 SoIC 技 术 将同 构和异 构小芯 片集成 到单个 类似 SoC 的 芯 片中,该 芯片具 有更小 的占用 空间和 更薄的 外 形,可 以整体 集成到CoWoS 和InFO 中。从 外观上 看,新 集成的 芯片就 像一个 普通的SoC 芯片,但嵌 入了 所需 的 异 构集 成功 能。SoIC 主 要 分为SoIC_CoW(Chip on Wafer)和SoIC_WoW(Wafer on Wafer)。SoIC_CoW 技术将不同尺寸、功能、节点的晶粒进行异质整合。SoIC_WoW 技术通过晶圆堆叠工艺实现异构和同质3D 硅集成。紧密的键合间距和薄的 TSV 可实现最小的寄生以实现更好的性能、更低的功耗和延迟以及更小的外形尺寸。WoW 适用于高良率节点和相同裸片尺寸的应用或设计,甚至支持与第 3 方晶圆的集成。资料来源:台积电,中信建投 资料来源:台积电,中信建投图 表:SoIC 与InFO_PoP、CoWoS 联合应 用 图 表:SoIC-WoW 生产 流程 SoIC:相比基于微凸点的3D 封装有诸多优势 基 于 微凸块 的3D 封装借助微 凸点连 接芯片,在 连 接密度、性能 等方面 受限。传统3D 封装在 后 端工 艺 中借 助 微凸 点(Pump)连 接 堆叠的芯片,但微 凸 点的尺寸 很难缩 小 到10 m 以下,限制了 堆叠芯片 的I/O 针脚 计数。此外,按 比例排 列 的微凸点 增加了 寄 生电容、电阻和电 感,降 低 了其性能 和功率。台积电SoIC 3D 封 装 技术使 芯片连 接紧密,并在互联 带宽和 散 热上表现 优异。台 积电SoIC 的 键合技术在 前端工 艺完成,接合 间距更小,使 芯片更 紧 密地连接 在一起,提供超过10K/mm2的垂直互 连密度,用于 超高带 宽互 连。在热 性能方 面,台 积电SoIC 键合 的热阻 比 微凸点 下降低35%。资料来源:台积电,中信建投图 表:SoIC 与基 于微 凸点 的3D 封装对 比图 表:SoIC 具有 更优 异的 热性 能表 现 HBM 3D 堆叠提升内存性能,GPGPU 上应用广泛 随着数据的爆炸势增长,内存墙对于计算速度的影响愈发显现。为了减小内存墙的影响,提升内存带宽一直是存储芯片聚焦的关键问题。如同闪存从2D NAND 向3D NAND 发展一样,DRAM 也正在从2D 向3D 技术发展,HBM 为主要代表产品。与传统DRAM 不同,HBM 是3D 结构,它使用TSV 技术将数个DRAM 裸片堆叠起来,形成立方体结构,与传统内存相比,HBM 的存储密度更大、功耗更低、带宽更高。目前HBM 多用于与数据中心GPGPU配合工作,可以取代传统的GDDR。图 表:HBM 结构 示意 图 图 表:HBM 与DDR 对比资 料 来 源:AMD,中 信建 投 资 料 来 源:SK海力士,中信建投DDR4 LPDDR4(X)GDDR6 HBM2HBM2E(JEDEC)HBM3(TBD)Data rate 3200Mbps3200Mbps(up to 4266Mbps)14Gbps(up to 16Gbps)2.4Gbps 2.8Gbps 3.2GbpsPin count x4/x8/x16x16/ch(2ch per die)x16/x32 x1024 x1024 x1024Bandwidth 5.4GB/s 12.8(17)GB/s 56GB/s 307GB/s 358GB/s 500GB/sDensity(per package)4GB/8GB8GB/16GB/24GB/32GB8GB/16GB 4GB/8GB 8GB/16GB8GB/16GB/24GB(TBD)AI 服务器对GPGPU 需求旺盛,拉动HBM 显存需求激增 GPGPU 为AI 服 务 器 主 流协处理器选择,平均搭载量大。IDC统计数据表明,中国x86 服务器以双路服务器为主,占比在80%以上。根据Omdia 预测,到2026 年约30%的服务器将搭载协处理器,而GPGPU 为协处理器的首选,份额在10%左右。与单台服务器主要搭载两颗CPU 的情况不同,通常一台加速服务器搭载4 颗及以上的GPGPU。IDC 数据显示,2019年中国AI 加速服务器单机GPGPU搭载量最多达到20 颗,加权平均数约为8 颗/台。单颗GPU 配套的HBM 显存存储容量达到80GB,对应价值量约为800 美元。配置GPGPU 数量 出货量20 75516 2152910 7658 192696 3905 7524 178733 12742 167081 3总计 79318加权平均 8.02图 表:2019 年中 国AI 服 务器配 置情 况资 料 来 源:IDC,中信建投11.3%8.4%7.4%7.6%6.6%80.0%84.7%87.0%87.7%88.8%8.4%6.7%5.3%4.5%4.5%0%10%20%30%40%50%60%70%80%90%100%2016 2017 2018 2019 20201 路 2 路 4 路 8 路(含)以上图 表:2016-2020年中 国x86 服务器 路数 分布 情况资 料 来 源:IDC,中信建投图表:全 球服 务器 出货 量 份额 预测 HBM1 HBM2 Gen1 HBM2 Gen2 HBM2E HBM3 HBM4OperatingFrequency(Mbps)1600 1600 2000-4000 3200-3600 4400-6400 8400-VDD 1.2V 1.2V 1.2V 1.2V 1.1V 1.1VDie Density(Stack)2GB(4-Hi)8GB(4Hi)8GB(4Hi/8Hi)16GB(4Hi/8Hi)16-24GB(4/8/12Hi)24-32GB(4/8/12/16Hi)Release Year2016 2017 2018 2020 20222027(expected)500458557846122718942497-8.4%21.6%51.9%45.0%54.4%31.8%-20%-10%0%10%20%30%40%50%60%0500100015002000250030002019 2020 2021 2022 2023 2024 2025市场 规模(百 万 美元)YoY上下游厂商积极布局HBM,2025 年市场规模近25亿美元 SK海力士是HBM 开 发的先行者,并在技术开发和市场份额上占据领先地位。2014年,SK海力士与AMD 联合开发了全球首款HBM 产品。SK 海力士的HBM3 发布7 个月后实现了量产,将搭载于NVIDIA H100 之上。根据BussinessKorea的报道,SK 海力士在HBM 市场已获得60%-70%的市场份额。HBM 赛 场 集 齐三大DRAM 巨 头,晶圆代工厂也通过升级相关封装技术参与其中。SK 海力士之后,三星、美光推出了各自的HBM 产品,分别迭代至HBM3 和HBM2E。晶圆代工厂商包括如台积电、格芯等也在发力HBM 相关的封装技术。HBM 在 算 力 芯片中的应用范围持续扩大,2025 年市场规模将接近25 亿美元。客户方面,AMD 和NVIDIA 两大显卡厂商已多次在其GPGPU 产品上采用HBM,Intel 发布了全球首款集成HBM 的x86 CPU,Xilinx 在其FPGA 产品中推出了搭载HBM 的系列。随着AI技术不断扩大对高算力的需求,HBM 销售量有望迎来快速增长。Omdia 预计2025 年HBM 市场规模将接近25 亿美元,是2020 年的5 倍多。资 料 来 源:SK 海力士,中信建投图 表:SK 海力 士的HBM 产品 迭代 图 表:全球HBM 市场 规模 预测资 料 来 源:Omdia,中信建投 Fan-out 根据重构载体差异分为WLP 和PLP 两类 Fan-out 扇出 形封 装 可分 为FOWLP 和FOPLP 两 类,主要差 异在于 切割后 的晶粒 放置于 不同的 载体上:FOWLP(Fan-out Wafer Level Package)晶圆级扇出形封装,将切割后的晶粒组合成为重构晶圆,然后进行塑封、去除载片、制作RDL 等工艺以完成封装。FOWLP 适合AP以及CPU、GPU、FPGA 等算力芯片的封装。FOPLP(Fan-out Panel Level Package)面板级扇出形封装是FOWLP 技术的延伸,但采用了更大的面板如PCB 载板或液晶面板用的玻璃载板,因此可以量产出数倍于12 英寸硅晶圆芯片的封装产品,成本上更具优势。FOPLP 适合AP、功率器件、电源管理IC 的封装。图 表:FOWLP 与FOPLP 封装 过程 中所 用载 体差 异 图 表:FOWLP 与FOPLP 封装 成本 差异资 料 来 源:SiP 与先进封装技术,中信建投资 料 来 源:Yole,中信建投 Fan-out 核心工艺步骤 Fan Out 工 艺流 程 可分 为Mold first 和RDL first 两类,区 别主要 在核心 工艺步 骤顺序,以Mold first 为例:1)从晶圆代工厂生产出的晶圆经过测试后,将来料晶圆切割成为裸晶;2)将切割后的裸晶嵌入粘接到人造塑料晶圆(重组晶圆)中,组合成为重构晶圆,与来料晶圆相比,重构晶圆上裸晶之间的距离相对更大。3)完成重构晶圆的贴片后,对重构晶圆进行塑封以固定和保护裸晶。然后将重构晶圆载片移除,从而将裸晶对外的输入输出接口(I/O)露出。4)在晶圆上通过金属布线工艺制作再布线层(RDL),并在RDL 所连接的金属焊盘上进行植球。最 后 将重 构 晶圆 进 行切 割,以 得 到独 立 的芯 片。RDL first 则是先在 载板上 生成RDL,再 将裸 晶 接合。图 表:FOWLP/FOPLP 工艺 流 程资 料 来 源:micromachines,中信建投 FOWLP 在汽车电子应用广泛,Fan-Out 市场份额高度集中 FOWLP 发 展 更 为成熟,是当前Fan-out 封 装 市场主流选择。在Fan-out 市场中,FOPLP 的发展因受到良率产量、翘曲及设备投入研发、投资回报率等种种挑战,产业发展进程仍有待提高。根据Yole数据,2020 年FOPLP 在Fan-out 市场中份额仅占3%,预计2026 年升至7%。Fan-out 参 与 者 阵 营 持续扩充,市场份额集中度高。OSAT、IDM、Fab 等来自不同领域的制造商都布局了Fan-out 技术,一些面板厂也切入FOPLP 的研发,Fan-out 封装参与者阵营持续丰富扩充。根据Yole 数据,Fan-out 市场集中度较高,台积电与全球前三大OSAT 厂商2020年合计市场份额达到95%,2022 年仍维持在90%以上。资料来源:国际电子商情,中信建投图表:扇 出形 封装 参与 厂 商代 表66.9%20.0%5.1%3.0%1.9%1.9%0.7%0.5%TSMC ASE 长电科技 AmkorNepes PTI 三星电子 华天科技图 表:2020 年全 球Fan-Out 封装 市场 份额资 料 来 源:Yole,中信建投图 表:2026年FOWLP/FOPLP 市场 份额 预测93%7%FOWLP FOPLP资 料 来 源:Yole,中信建投 三、空间:行业 规 模与龙头二、工艺:先进 封 装与2.5D/3D 封 装关键 工艺目录一、应用:手机封 装工艺-汽车封装 工艺-HPC四、供给:国内 晶 圆厂与封 装厂 先 进封装市 场快速成 长,规模 有望超越 传统封装 预计2027 年先进封 装市场 规模增 至651 亿美元,2021-2027 年CAGR达到9.6%。根据Yole 数据,全 球 封装市 场中,先进封 装占比 已由2015 年的39%提升至2021 年的44%。预计到2027
展开阅读全文